Verilog로 작성된 라이브러리

picorv32

PicoRV32 - 크기 최적화된 RISC-V CPU입니다.
  • 2.5k
  • ISC

darkriscv

OpenSouce RISC-V CPU 코어가 Verilog에서 처음부터 하룻밤 만에 구현되었습니다!.
  • 1.7k
  • BSD 3-clause "New" or "Revised"

verilog-ethernet

FPGA 구현을 위한 Verilog 이더넷 구성 요소.
  • 1.6k
  • MIT

corundum

네트워크 내 컴퓨팅을 위한 오픈 소스 FPGA 기반 NIC 및 플랫폼입니다.
  • 1.2k
  • GNU General Public License v3.0

hdl

HDL 라이브러리 및 프로젝트.
  • 1.2k
  • GNU General Public License v3.0

zipcpu

작고 가벼운 RISC CPU 소프트 코어.
  • 1.1k

serv

SERV - 직렬 RISC-V CPU.
  • 1.1k
  • ISC

verilog-axi

FPGA 구현을 위한 Verilog AXI 구성 요소.
  • 992
  • MIT

oh

ASIC 및 FPGA 설계자용 Verilog 라이브러리(aolofsson 제공).
  • 971
  • MIT

OpenROAD

RTL-to-GDS 흐름을 구현하는 OpenROAD의 통합 애플리케이션. https://openroad.readthedocs.io/en/latest/의 설명서.
  • 910
  • BSD 3-clause "New" or "Revised"

openc910

OpenXuantie - OpenC910 코어.
  • 844
  • Apache License 2.0

uhd

USRP™ 하드웨어 드라이버 리포지토리.
  • 821
  • GNU General Public License v3.0

riscv

RISC-V CPU 코어(RV32IM)(ultraembedded 제공).
  • 813
  • BSD 3-clause "New" or "Revised"

vortex

  • 802
  • BSD 3-clause "New" or "Revised"

verilog-pcie

Verilog PCI 익스프레스 구성 요소.
  • 713
  • MIT

open-fpga-verilog-tutorial

오픈 소스 도구만 사용하여 디지털 시스템을 설계하고 이를 FPGA로 합성하는 방법을 알아보십시오.
  • 679
  • GNU General Public License v3.0 only

apio

:seedling: 오픈 FPGA 보드를 위한 오픈 소스 생태계.
  • 650
  • GNU General Public License v3.0 only

OpenFPGA

오픈 소스 FPGA IP 생성기(lnis-uofu 제작).
  • 607
  • MIT

biriscv

32비트 수퍼스칼라 RISC-V CPU.
  • 598
  • Apache License 2.0

microwatt

VHDL 2008로 작성된 작은 Open POWER ISA 소프트코어.
  • 564
  • GNU General Public License v3.0

USB_C_Industrial_Camera_FPGA_USB3

USB C 산업용 카메라 프로젝트의 소스 및 문서 파일, 이 저장소에는 FPGA 펌웨어 및 USB 컨트롤러 펌웨어 소스와 함께 PCB 보드, FPGA, 카메라 및 USB가 포함되어 있습니다.
  • 553

riscv_vhdl

휴대용 RISC-V 시스템 온 칩 구현: RTL, 디버거 및 시뮬레이터.
  • 519
  • Apache License 2.0

riscv-formal

RISC-V 정식 검증 프레임워크.
  • 489
  • ISC

OpenTimer

VLSI 시스템용 고성능 타이밍 분석 도구.
  • 437
  • GNU General Public License v3.0

vroom

브룸! RISC-V CPU(MoonbaseOtago 제작).
  • 403
  • GNU General Public License v3.0 only

CFU-Playground

더 빠른 ML 프로세서를 원하십니까? 너 스스로해라! -- TFLM(TensorFlow Lite for Microcontrollers)을 가속화하기 위해 사용자 지정 opcode를 사용하기 위한 프레임워크입니다...... 온라인 튜토리얼: https://google.github.io/CFU-Playground/ 참조 문서는 아래 링크를 참조하세요..
  • 393
  • Apache License 2.0

litepcie

작은 설치 공간 및 구성 가능한 PCIe 코어.
  • 385
  • GNU General Public License v3.0

basejump_stl

BaseJump STL: SystemVerilog용 표준 템플릿 라이브러리.
  • 369
  • GNU General Public License v3.0

wb2axip

버스 교량 및 기타 잡동사니.
  • 368

convolution_network_on_FPGA

Verilog HDL을 사용하는 virtex-7 FPGA의 CNN 가속.
  • 345